Welcome![Sign In][Sign Up]
Location:
Search - VHDL I2C

Search list

[Software Engineeringi2c_basic

Description: 下面是i2c的vhdl程序,需要的快来吧,哈哈 哈哈 -The following is the VHDL i2c procedures need Come now,哈哈哈哈
Platform: | Size: 1047552 | Author: | Hits:

[VHDL-FPGA-Verilogwb_i2c_tb

Description: 模拟I2C EEPROM的VHDL代码。如常见的24c02等。-I2C EEPROM simulation of VHDL code. If common, such as 24C02.
Platform: | Size: 8192 | Author: zif zhu | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: 用VHDL来实现I2C的功能(实验过),很好用啊-Use VHDL to achieve the functions of I2C (experimental too), good use ah
Platform: | Size: 1024 | Author: 唐小勇 | Hits:

[VHDL-FPGA-Verilogi2c_altera

Description: I2C总线控制器 altera公司提供VHDL实现代码-I2C bus controller altera companies realize VHDL code
Platform: | Size: 1598464 | Author: 张庆顺 | Hits:

[VHDL-FPGA-VerilogEP2C5Q208

Description: 以cyclone系列的EP2C5Q208为核心的实验板程序.包括流水灯,I2C存储器.SPI存储器,数码管,串口,LCD等-Cyclone in series as the core EP2C5Q208 experimental procedure. Including water lights, I2C memory. SPI memory, digital control, serial port, LCD, etc.
Platform: | Size: 2980864 | Author: sarah | Hits:

[VHDL-FPGA-Verilogi2c_S

Description: I2C Slave module The module contains N accessable Registers when in read Process, all Registers are read at a time when in write Process, only the addressed register are Writeable.
Platform: | Size: 2048 | Author: 李全 | Hits:

[VHDL-FPGA-Verilogi2c

Description: SAA7114 和 FPGA/CPLD之间通讯的程序,本人觉得比较好,而且里面还添加了,ROM,用来存取IIC的常数和读来的数据。-SAA7114 and FPGA/CPLD communication between the procedures, I feel better, but it also added, ROM, used to access the IIC to the constant and time data.
Platform: | Size: 8192 | Author: 张亚伟 | Hits:

[VHDL-FPGA-VerilogI2C_Verilog

Description: I2C 控制器的 Verilog源程序 example-I2C controller Verilog source code example
Platform: | Size: 206848 | Author: 展望 | Hits:

[VHDL-FPGA-Verilogi2c_Sample

Description: verilog在cpld上实现i2c主从设备通讯功能-Verilog CPLD achieved in i2c master-slave communication equipment
Platform: | Size: 718848 | Author: nedazq | Hits:

[VHDL-FPGA-VerilogI2CVHDL

Description: vhdl的i2c程序,已经过仿真,仿真正确且能够成功应用-The i2c VHDL procedures, has been simulated and can be successfully applied correctly
Platform: | Size: 38912 | Author: 张海风 | Hits:

[Embeded-SCM DevelopI2CCPLD

Description: I2C在CPLD上的模拟实现源程序,I2C在CPLD上的模拟实现源程序-I2C in CPLD realize the analog source, I2C in CPLD realize the analog source
Platform: | Size: 1024 | Author: zhp | Hits:

[VHDL-FPGA-VerilogVerilog_example

Description: 本文件包括多路选择器器建模,译码器实验程序,加法器实验程序,比较器实验程序,计数器建模,I2C接口标准建模源码,串行接口RS232标准建模源码标准,LCM建模源码,时钟6分频源码,串并转化源码。 ,对于硬件设计初学者来说有一定的参考价值。-This document includes MUX device modeling, experimental procedure decoder, adder experimental procedures, experimental procedures comparators, counters modeling, I2C interface standard modeling source, standard RS232 serial interface modeling source standards, LCM modeling source, clock frequency source 6, and transforming source string. For hardware design beginners have a certain reference value.
Platform: | Size: 1064960 | Author: 朱秋玲 | Hits:

[VHDL-FPGA-VerilogI2C_design_by_VHDL

Description: 本源码是I2C接口VHDL的一个基本设计方案
Platform: | Size: 38912 | Author: leixiaoqi | Hits:

[VHDL-FPGA-Verilogoc_i2c_master

Description: 用VHDL制作的I2C控制器,是一个component,之间添加就可以使用。-VHDL produced using I2C controller, is a component, you can use to add between.
Platform: | Size: 386048 | Author: 辛小怡 | Hits:

[Embeded-SCM Developi2c_p

Description: I2C IP,可以直接用,有相关规范文档说明-I2C IP, can be directly used, have the relevant normative document explains
Platform: | Size: 2207744 | Author: pantree | Hits:

[VHDL-FPGA-Verilogflash_read_and_write

Description: 适用于满足I2C协议的flash读/写操作程序,只需要设置要读/写的字节数,就可以直接使用!-Applicable to meet the I2C protocol flash read/write operations, only need to set to read/write number of bytes can be used directly!
Platform: | Size: 3072 | Author: xiaoyuer | Hits:

[VHDL-FPGA-Verilog35738611i2cmaster

Description: FPGA设计的I2C总线控制器的MASTER端的程序-FPGA Design of I2C Bus Controller MASTER-side procedures
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: I2C控制器的源代码,Verilog HDL语言编写,可以直接调用-I2C controller source code, Verilog HDL language, you can directly call
Platform: | Size: 2048 | Author: zw | Hits:

[VHDL-FPGA-VerilogI2C_auto_config

Description: 这是一个用VHDL语言描述的I2C自动配置模块,使用了来自opencores.org的I2C核,已在altera的cyclone芯片上调试通过-This is a VHDL language used to describe auto-configuration of the I2C module, the use of the I2C from opencores.org nucleus, the cyclone in the altera-chip debugging through
Platform: | Size: 7168 | Author: wgy | Hits:

[Windows Developi2c

Description: 实现fpga芯片控制pcf8591进行ad转换的功能。希望能给大家带来帮助-Realize pcf8591 FPGA chip to control the function of ad conversions. I hope everyone can help
Platform: | Size: 196608 | Author: 郑玮 | Hits:
« 1 2 3 45 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net